ID de l'article: 000084245 Type de contenu: Dépannage Dernière révision: 19/02/2014

Pourquoi mon contrôleur HPS DDR3 échoue-t-il à l’étalonnage ?

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • FPGA Intel® IP UniPHY avec contrôleur DDR3 SDRAM
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Votre contrôleur HPS DDR3 généré avec le logiciel Quartus® II version 13.0 ou 13.0sp1 peut rencontrer un échec d’étalonnage et produire les messages de débogage suivants dans le fichier texte de sortie de débogage :

    test_load_patterns(0,TOUS) => (85 == 255) => 0

    Échec du test de lecture garanti

    SUIV. C : Échec de l’étalonnage

    SUIV. C : Étape d’erreur : 1

    SUIV. C : Erreur Sous-étape : 1

    SUIV. C : Groupe d’erreurs : 0

    Il existe un problème connu lorsque les broches HPS Vref consomment un courant élevé, ce qui entraîne une chute de tension de Vref et l’échec de l’étalonnage DDR3.

    Résolution

    Installez le correctif DP5 Quartus® II 13.0SP1. Voir le lien dans Solutions connexes ci-dessous.

    Le même correctif est également disponible en tant que correctif séparé (1.34) pour la version Quartus® II 13.0SP1. Il est recommandé aux utilisateurs d’installer le correctif DP5, mais si un correctif séparé uniquement pour le problème HPS Vref est nécessaire, veuillez contacter Altera.

    Ce problème a été corrigé dans la version 13.1 du logiciel Quartus® II.

    Produits associés

    Cet article concerne 19 produits

    FPGA SoC Cyclone® V SX
    FPGA Cyclone® V GT
    FPGA Stratix® V GX
    FPGA Stratix® V GT
    FPGA Cyclone® V GX
    FPGA Stratix® V GS
    FPGA Arria® V GZ
    FPGA SoC Arria® V SX
    FPGA SoC Cyclone® V ST
    FPGA SoC Arria® V ST
    FPGA Arria® V GX
    FPGA Arria® V GT
    FPGA Stratix® II GX
    FPGA Stratix® III
    FPGA Stratix® II GT
    FPGA Cyclone® V E
    FPGA Stratix® V E
    FPGA Stratix® IV E
    FPGA SoC Cyclone® V SE

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.