ID de l'article: 000090673 Type de contenu: Dépannage Dernière révision: 11/04/2023

Pourquoi ma conception échoue-t-elle dans le matériel lors de l’utilisation du logiciel Intel® Quartus® Prime Pro Edition version 22.1 ?

Environnement

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problème critique

    Descriptif

    En raison d’un problème dans la version 22.1 du logiciel Intel® Quartus® Prime Pro Edition, vous pouvez constater des pannes au niveau matériel lorsque le message d’avertissement ci-dessous est affiché pendant l’étape « Analyse et synthèse ». Les conceptions affectées auront un décalage entre RTL et la liste de netlist synthétiseurs.

    Les conceptions affectées par le problème de synthèse voient l’avertissement suivant dans le fichier de rapport de synthèse (*.syn.rpt).

    Avertissement (13228) : avertissement Verilog HDL ou VHDL à <file> : la defparam sous portée générer ne peut pas modifier les valeurs de paramètre en dehors de son Fichier hiérarchique : <file>

    Résolution

    Un correctif est disponible pour contourner ce problème pour la version 22.1 du logiciel Intel® Quartus® Prime Pro Edition. Téléchargez et installez le correctif 0.05 à partir du lien approprié ci-dessous.

    Ce problème est résolu dans toutes les versions futures du logiciel Intel® Quartus® Prime Pro Edition à partir de la version 22.1.

    Produits associés

    Cet article concerne 1 produits

    Circuits programmables Intel®

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.