ID de l'article: 000086550 Type de contenu: Dépannage Dernière révision: 05/01/2016

Pourquoi est-ce que je vois des « X » sur mes sorties d’encodeur Reed-Solomon II ?

Environnement

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif En raison d’un problème avec le logiciel Quartus® Prime version 15.1, vous verrez ce comportement si vous insérez plusieurs cœurs IP Reed-Solomon II (RS-II) dans votre conception.
    Résolution

    Le problème se produit parce que le fichier msim_setup.tcl (ou l’équivalent de votre simulateur) compile les deux instances dans la même bibliothèque.

    Pour contourner ce problème, modifiez le script tcl pour ajouter une nouvelle bibliothèque et déplacez une des instanciations dans cette bibliothèque.

    Vous pouvez par exemple voir les lignes suivantes :

    ensure_lib./bibliothèques/_highspeed_rs_enc_151/
    vmap altera_highspeed_rs_enc_151./bibliothèques/altera_highspeed_rs_enc_151/
          

    ...

    eval vlog -sv « /hs_rs_248_216_enc/altera_highspeed_rs_enc_151/sim/altera_highspeed_rs_enc_lagr_pkg.sv » -travail altera_highspeed_rs_enc_151

    eval vlog -sv « /hs_rs_248_232_enc/altera_highspeed_rs_enc_151/sim/altera_highspeed_rs_enc_lagr_pkg.sv » -altera_highspeed_rs_enc_151 de travail

    ...

    eval vsim -lhdpt -t ps -L work -L work_lib -L altera_common_sv_packages... -L altera_highspeed_rs_enc_151

    Vous modifiez ensuite comme suit :

    ensure_lib./bibliothèques/_highspeed_rs_enc_151/
    vmap altera_highspeed_rs_enc_151./bibliothèques/altera_highspeed_rs_enc_151/
    ensure_lib./bibliothèques/_highspeed_rs_enc_151_2/
    vmap altera_highspeed_rs_enc_151_2./bibliothèques/altera_highspeed_rs_enc_151_2/
          

    ...

    eval vlog -sv « /hs_rs_248_216_enc/altera_highspeed_rs_enc_151/sim/altera_highspeed_rs_enc_lagr_pkg.sv » -altera_highspeed_rs_enc_151 de travail

    eval vlog -sv « /hs_rs_248_232_enc/altera_highspeed_rs_enc_151/sim/altera_highspeed_rs_enc_lagr_pkg.sv » -altera_highspeed_rs_enc_151_2 de travail

    ...

    eval vsim -ilhpt -t ps -L work -L work_lib -L altera_common_sv_packages... -L altera_highspeed_rs_enc_151 -L altera_highspeed_rs_enc_151_2

    Ce problème devrait être résolu dans une prochaine version de Quartus Prime.

    Produits associés

    Cet article concerne 26 produits

    FPGA Cyclone® V GT
    FPGA Stratix® V GX
    FPGA GX Cyclone® IV
    FPGA Cyclone® V GX
    FPGA Arria® V GZ
    FPGA Stratix® V GS
    FPGA Arria® V GX
    FPGA Stratix® V GT
    FPGA Intel® Arria® 10 GT
    FPGA Arria® V GT
    FPGA Stratix® II GX
    FPGA Arria® II GX
    FPGA Intel® Arria® 10 GX
    FPGA Arria® II GZ
    FPGA Stratix® II GT
    FPGA Cyclone® V E
    FPGA Stratix® V E
    FPGA SoC Intel® Arria® 10 GX
    FPGA SoC Cyclone® V SX
    FPGA SoC Cyclone® V ST
    FPGA SoC Cyclone® V SE
    FPGA Cyclone® IV E
    FPGA SoC Arria® V SX
    FPGA SoC Arria® V ST
    FPGA Intel® MAX® 10
    FPGA Stratix® IV E

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.