ID de l'article: 000085314 Type de contenu: Dépannage Dernière révision: 05/08/2015

Pourquoi le SD-SDI rx_dataout incorrect lors de l’utilisation des versions logicielles 12.1sp1 et ultérieures ?

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif En raison d’un problème dans Quartus® II version 12.1sp1 et ultérieure, il est possible que vous voyiez que le rx_dataout récupéré de manière incorrecte lors de la réception du SD-SDI avec une source de jitter élevée. Les cœurs IP SDI® et SDI II sont tous les deux affectés.
    Résolution

    Pour contourner ce problème lors de l’utilisation du logiciel version 15.0 du cœur IP SDI II, téléchargez et installez le correctif 0.19 à partir des liens ci-dessous.


    La tolérance à la gigue des cœurs IP SDI et SDI II devrait être améliorée dans une prochaine version du logiciel Quartus II.

    Produits associés

    Cet article concerne 34 produits

    FPGA Cyclone® V GT
    FPGA Cyclone® III
    FPGA Stratix® V GX
    FPGA GX Cyclone® IV
    FPGA Cyclone® II
    FPGA Cyclone® V GX
    FPGA Arria® V GZ
    FPGA Stratix® V GS
    FPGA Stratix® II GX
    FPGA Stratix® II
    FPGA Arria® V GX
    FPGA Stratix® V GT
    FPGA Intel® Arria® 10 GT
    FPGA Arria® V GT
    FPGA Stratix® III
    FPGA Stratix® II GX
    FPGA Arria® II GX
    FPGA Intel® Arria® 10 GX
    FPGA Arria® II GZ
    FPGA Stratix® II GT
    FPGA Cyclone® V E
    FPGA Stratix® V E
    FPGA SoC Intel® Arria® 10 GX
    FPGA Stratix®
    FPGA Stratix® GX
    FPGA Arria® GX
    FPGA SoC Cyclone® V SX
    FPGA SoC Cyclone® V ST
    FPGA SoC Cyclone® V SE
    FPGA SoC Arria® V SX
    FPGA SoC Arria® V ST
    FPGA Cyclone®
    FPGA Cyclone® III LS
    FPGA Stratix® IV E

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.