ID de l'article: 000084074 Type de contenu: Dépannage Dernière révision: 11/09/2012

Quels sont les problèmes de fermeture de synchronisation pour plusieurs instances de contrôleur mémoire ou PHY utilisant le contrôleur hautes performances MegaCore de DDR2 SDRAM ou la mégafunction ALTMEMPHY dans les périphériques Stratix II ?

Environnement

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Descriptif

Dans la version 7.1 du logiciel Quartus® II, des problèmes connus sont rencontrés avec les fichiers de contrainte de synchronisation mégafunction ALTMEMPHY (au format SDC). Ces problèmes affectent la fermeture de la synchronisation pour les conceptions Stratix® II implémentant plusieurs contrôleurs mémoire avec les sorties d’horloge PLL dédiées à l’utilisation de l’option d’horloge mémoire activée. Pour effectuer une analyse correcte de la synchronisation de plusieurs contrôleurs DDR (ou instancations ALTMEMPHY), ajoutez un fichier SDC supplémentaire à votre projet qui configure correctement les horloges pour toutes les instancations.

 

Dans l’exemple ci-dessous, deux instances de contrôleurs hautes performances DDR2/DDR MegaCore® avec les noms des emballages core1 et core2. Le Gestionnaire de plug-in MegaWizard® crée deux fichiers, core1_phy_ddr_timing.sdc et core2_phy_ddr_timing.sdc pour limiter les interfaces mémoire. Si votre conception instantanéise la mégafunction ALTMEMPHY directement (avec votre propre contrôleur), les noms de fichiers n’incluent pas les _phy partie des noms de fichiers.

 

La conception nécessite un nouveau fichier SDC appelé altemphy_general.sdc qui contient les contraintes suivantes :

set pll_inclk *core1_phy_alt_mem_phy_sii_inst|clk|*|altpll_component|pll|inclk\[0\]

foreach_in_collection  c [get_pins -compatibility_mode ] { }

set pll_ref_clk [get_node_info -name ]

create_clock -period 10.000

 

set pll_inclk *core2_phy_alt_mem_phy_sii_inst|clk|*|altpll_component|pll|inclk\[0\]

foreach_in_collection  c [get_pins -compatibility_mode ] { }

set pll_ref_clk [get_node_info -name ]

create_clock -period 10.000

 

Cet exemple montre deux instanciations du contrôleur/PHY. Pour votre conception, créez un fichier SDC similaire qui limite correctement les horloges. Pour chaque contrôleur/PHY, changez le nom dans les commandes SDC ci-dessus pour correspondre à votre nom d’instanciation, et changez la période d’horloge dans la ligne create_clock pour correspondre à la période de l’horloge de référence fournie à la mégafunction ALTMEMPHY. Pour les conceptions comportant plus de deux instanciations, répétez les 4 lignes requises pour chaque instance.

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.