En raison d’un problème avec le logiciel Intel® Quartus® Prime, vous pouvez voir les avertissements de l’analyseur de synchronisation concernant les horloges sans contraintes.
Des contraintes de synchronisation sont nécessaires pour faire fonctionner correctement les E/S SEU (détection avancée de l’unité de seu et IPS d’injection de panne). L’utilisateur doit fournir ces contraintes dans un fichier Contrainte de conception (.sdc) Synopsys*.
Pour contourner ce problème, ajoutez ces contraintes en les ajoutant directement dans un fichier de contraintes SDC existant, ou en les plaçant dans un fichier séparé (par exemple, « seu_constraints.sdc »).
Nb. de contraintes pour l’IP SEU
create_clock -nom intosc -période 10.000 [get_nets {*|alt_fault_injection_component|alt_fi_inst|intosc}]
create_generated_clock -nom emr_unloader_STATE_CLOCKHIGH-source [get_nets {*|alt_fi_inst|intosc}] [get_keepers {*altera_emr_unloader:emr_unloader_component|current_state. STATE_CLOCKHIGH}]
create_generated_clock -nom asd_current_state_MISS-source [get_nets {*|alt_fi_inst|intosc}] [get_keepers {*|asd_cache:asd_cache_inst|current_state. STATE_MISS}]
create_generated_clock -name asd_cpuread_oneshot-source [get_nets {*|alt_fi_inst|intosc}] [get_keepers {*|asd_cache:asd_cache_inst|asd_ext_oneshot:cpuread_oneshot|}]
set_clock_groups -exclusive -group [get_clocks {emr_unloader_STATE_CLOCKHIGH}]
set_clock_groups -exclusive -group [get_clocks {asd_current_state_MISS}]
set_clock_groups -exclusive -group [get_clocks {asd_cpuread_oneshot}]