ID de l'article: 000081353 Type de contenu: Messages d'erreur Dernière révision: 18/11/2011

Messages d’avertissement affichés pour les interfaces de mémoire externe UniPHY lors de la compilation de périphériques Stratix V

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problème critique

    Descriptif

    Lors de la compilation d’une conception pour Stratix périphériques V, le système peuvent afficher de nombreux messages d’avertissement liés à la PLL semblables à ceux Suivants:

    Warning: PLL(s) placed in location FRACTIONALPLL_X0_Y1_N0 do not have a PLL clock to compensate specified - the Fitter will attempt to compensate all PLL Warning: PLL(s) placed in location FRACTIONALPLL_X0_Y1_N0 use multiple different clock network types - the PLL will compensate for output clocks Warning: PLL cross checking found inconsistent PLL clock settings: Warning: Node: mem_if|controller_phy_inst|memphy_top_inst|pll1~FRACTIONAL_PLL|mcntout was found missing 1 generated clock that corresponds to a base clock with a period of: 8.000 Warning: Clock: mem_if|ddr3_pll_write_clk was found on node: mem_if|controller_phy_inst|memphy_top_inst|pll3|outclk with settings that do not match the following PLL specifications: Warning: -multiply_by (expected: 21, found: 4264000) Warning: -divide_by (expected: 5, found: 1000000) Warning: -phase (expected: 0.00, found: 90.00)

    Ces messages d’avertissement sont attendus et peuvent être ignorés.

    Résolution

    Il n’y a pas de solution à ce problème. Vous pouvez ignorer en toute sécurité les messages d’erreur.

    Produits associés

    Cet article concerne 1 produits

    FPGA Stratix® V

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.