ID de l'article: 000079430 Type de contenu: Dépannage Dernière révision: 07/10/2016

Le logiciel Quartus Prime prend-il en charge les bibliothèques IEEE fixed_pkg et float_pkg dans les bibliothèques VHDL-2008 ?

Environnement

  • Intel® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Les bibliothèques IEEE fixed_pkg et float_pkg ne sont pas prises en charge dans l’édition Quartus® Prime Standard et Lite.

    Vous pouvez voir ci-dessous les messages d’erreur à l’étape de l’analyse et de la synthèse :
    Erreur (10481) : erreur de la clause d’utilisation VHDL à .vhd : la bibliothèque de conception « ieee » ne contient pas d’unité principale « fixed_pkg ». Vérifiez que l’unité principale existe dans la bibliothèque et qu’elle a été compilée avec succès.

    Ou

    Erreur (10481) : erreur de la clause d’utilisation VHDL à .vhd : la bibliothèque de conception « ieee » ne contient pas l’unité principale « float_pkg ». Vérifiez que l’unité principale existe dans la bibliothèque et qu’elle a été compilée avec succès.
     

    Résolution L’édition Quartus Prime Pro prend en charge les bibliothèques fixed_pkg et float_pkg IEEE.

    Produits associés

    Cet article concerne 1 produits

    Circuits programmables Intel®

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.