ID de l'article: 000078521 Type de contenu: Information et documentation de produit Dernière révision: 30/07/2015

Comment limiter les horloges PLL lors de l’utilisation du basculement d’horloge dans les périphériques 28 nm ?

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    En raison d’un problème dans les versions 10.1 et ultérieures du logiciel Quartus® II, la commande ne limite pas correctement toutes les horloges lors de l’utilisation de Altera_PLL avec le passage de derive_pll_clocks l’horloge PLL. Ce problème affecte les conceptions ciblant les périphériques Stratix® V, Arria® V ou Cyclone® V. Au lieu de créer des horloges associées à chaque horloge de référence d’entrée, derive_pll_clocks crée uniquement des horloges pour la première horloge de référence.

    Résolution

    Pour limiter correctement les sorties Altera_PLL pour chaque horloge de référence, utilisez create_generated_clock les commandes comme décrit dans le document ci-dessous. Le document comprend des instructions décrivant comment créer ces commandes, ainsi que des exemples de commandes basés sur l’exemple de conception ci-dessous.

    Ce problème devrait être résolu dans une prochaine version du logiciel Quartus II.

    Produits associés

    Cet article concerne 15 produits

    FPGA SoC Cyclone® V SX
    FPGA Cyclone® V GT
    FPGA Stratix® V GX
    FPGA Stratix® V GT
    FPGA Cyclone® V GX
    FPGA Stratix® V GS
    FPGA Arria® V GZ
    FPGA SoC Arria® V SX
    FPGA SoC Cyclone® V ST
    FPGA SoC Arria® V ST
    FPGA Arria® V GX
    FPGA Arria® V GT
    FPGA Cyclone® V E
    FPGA Stratix® V E
    FPGA SoC Cyclone® V SE

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.