ID de l'article: 000076732 Type de contenu: Dépannage Dernière révision: 06/11/2020

Pourquoi ma variante VHDL du Intel® FPGA IP eCPRI ne parvient-elle pas à simuler dans Synopsys VCS MX® ?

Environnement

  • Intel® Quartus® Prime Pro Edition
  • Intel® CPRI
  • CPRI
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problème critique

    Descriptif

    En raison d’un problème dans les versions 20.1 et ultérieures du logiciel Intel® Quartus® Prime, lorsque le compilateur du simulateur utilise une variante VHDL du Intel® FPGA IP eCPRI dans Synopsys VCS MX*, le compilateur du simulateur signale les erreurs indiquant qu’il existe des décalages de type pour le paramètre «ADV_MAP».

    Résolution

    Pour résoudre ce problème dans la version 20.1 Intel® Quartus® Prime et les versions ultérieures, suivez les étapes ci-dessous :

     

    1) Localisez le fichier de l’emballage de haut niveau généré de votre Intel® FPGA IP eCPRI ici :

           /sim


     

    2) Changez chaque chaîne «ACTIVÉE» ou «OFF» attribuée au paramètre «ADV_MAP» aux entiers 1 ou 0,respectivement, comme indiqué ci-dessous :

     

    De

    ADV_MAP : chaîne : = « ACTIVÉ » ;

     

    À

    ADV_MAP : entier := 1 ;

     

    De

    ADV_MAP = > « OFF »,

     

    À

    ADV_MAP => 0,

     

    Ce problème est résolu à partir de la version 20.3 du logiciel Intel® Quartus® Prime.

    Produits associés

    Cet article concerne 2 produits

    FPGA et FPGA SoC Intel® Stratix® 10
    FPGA et FPGA SoC Intel® Arria® 10

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.