ID de l'article: 000076422 Type de contenu: Messages d'erreur Dernière révision: 20/05/2020

Erreur : erreur VHDL à auk_dspip_roundsat_hpfir.vhd(103) : la valeur « 4294967295 » sort de la plage de contraintes cible (-2147483848 à 2147483647)

Environnement

  • Intel® Quartus® Prime Pro Edition
  • FPGA Intel® IP FIR II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    En raison d’un problème dans la version 19.2 du logiciel Intel® Quartus® Prime Pro Edition, l’erreur ci-dessus peut être constatée lorsque la largeur de sortie du Intel® FPGA IP FIR II est supérieure ou égale à 32 bits en mode d’arrondissement.

    Résolution

    Pour contourner cette erreur dans le logiciel existant, définissez le paramètre IP « Arrondissement duLSBde sortie » sur «Truncation», ou utilisez toujours l'«arrondissement »,mais assurez-vous que la largeur de sortie est inférieure à 32 bits.

    Ce problème a été résolu à partir de la version 20.1 du logiciel Intel® Quartus® Prime Pro Edition.

    Produits associés

    Cet article concerne 4 produits

    FPGA et FPGA SoC Intel® Arria® 10
    FPGA et FPGA SoC Intel® Stratix® 10
    FPGA Intel® Cyclone® 10
    FPGA et FPGA SoC Intel® Agilex™

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.