ID de l'article: 000075949 Type de contenu: Dépannage Dernière révision: 25/06/2013

Erreur VHDL 10528 à < nom du composant>{}: La valeur 0 est hors de la plage de contraintes cible (1 à 2147483647)

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Cette erreur peut être constatée lors de la synthèse des systèmes Qsys générés VHDL en raison d’un problème dans la version 13.0 du logiciel Quartus® II.   Un type de données incorrect « positif » est transmis par l’auteur HDL, ce qui entraîne une incompatibilité de la déclaration des composants VHDL.

    Résolution

    Pour résoudre ce problème dans la version 13.0 du logiciel Quartus II :
    - Choisissez Verilog pour la synthèse dans l’interface graphique Qsys

    Ou

    - Modifiez le nom _hw.tcl pour l’IP effective dans un éditeur de texte, et changez le type de paramètre de « positif » à entier

    Ce problème est actuellement prévu pour être résolu pour une future version du logiciel Quartus II.

    Produits associés

    Cet article concerne 1 produits

    Circuits programmables Intel®

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.