ID de l'article: 000075609 Type de contenu: Information et documentation de produit Dernière révision: 22/07/2013

Comment déduire une mémoire très petite lors de l’utilisation de la synthèse intégrée Quartus II ?

Environnement

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Descriptif

Lors de l’exécution de la synthèse Quartus® II, il est possible que les mémoires inférés ne soient pas mises en mappées sur les structures de mémoire des blocs technologiques s’ils sont très petits. Ces limites sont décrites dans la rubrique Auto RAM to Logic Cell Conversion dans le chapitre Quartus II Integrated Synthesis (PDF) du Manuel de Quartus II.

Résolution

Pour inférer une mémoire de bloc pour des mémoires inférieures à ces limites, réalisez une des options suivantes :

  • Incluez la ligne suivante dans votre fichier de paramètres du projet Quartus II(.qsf):
    set_global_assignment nom ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION activé
  • Dans l’interface graphique Quartus II, dans le menu Affectations, cliquez sur Paramètres pour ouvrir la boîte de dialogue Paramètres, sélectionnez la catégorie Analyse et paramètres de synthèse, puis cliquez sur Autres paramètres. Activez le paramètre Autoriser toute taille de ROM pour la reconnaissance.

Produits associés

Cet article concerne 1 produits

Circuits programmables Intel®

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.