VHDL : bus tri-états

author-image

Par

Cet exemple met en œuvre 8 tampons à trois états en utilisant une clause WHEN-ELSE dans une déclaration De l’organisme d’architecture. Il n’a pas de chemin de retour d’information, et donc la broche de sortie my_out est désignée comme OUT, au lieu d’INOUT.

Cet exemple est semblable à celui de VHDL : exemple de bus bidirectionnel, sauf qu’il n’utilise pas de ligne de commentaires.

Pour plus d’informations sur l’utilisation de cet exemple dans votre projet, consultez :


prebus.vhd

BIBLIOTHÈQUE IEEE ;
    UTILISEZ ieee.std_logic_1164.ALL ;

PORT IS PREBUS DE L’ENTITÉ
        (my_in  : IN STD_LOGIC_VECTOR (7 DOWNTO 0) ;
        sel    : IN STD_LOGIC ;
        my_out : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)) ;
Prébus END ;

ARCHITECTURE maxpld OF prebus IS
BEGIN
    my_out <= « ZZZZZZZZ »
    WHEN (sel = '1')
    ELSE my_in ;
MAXPLD END ;

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.