L’analyseur de synchronisation prend en charge les interfaces de programme de collecte (API) qui permettent d’accéder facilement aux ports, broches, cellules ou nœuds de la conception. Vous pouvez utiliser les API de collection avec toutes les contraintes valides ou les commandes Tcl (tool command language) spécifiées dans l’Analyseur de synchronisation.
Le tableau 1 décrit les commandes de collection prises en charge par l’analyseur de synchronisation.
Pour plus d’informations sur les collections, reportez-vous au chapitre Analyseur de synchronisation ou au manuel de référence de l’API SDC & Timing Analyzer (PDF).
Tableau 1. Commandes de collection
Commande |
Description |
---|---|
all_clocks |
Retourne une collection de toutes les horloges de la conception. |
all_inputs |
Retourne un ensemble de ports d’entrée dans la conception. |
all_outputs |
Retourne une collection de tous les registres de la conception. |
get_cells |
Retourne un ensemble de cellules dans la conception. Tous les noms de cellules de la collection correspondent au schéma spécifié. Les wildcards peuvent être utilisés pour sélectionner plusieurs cellules en même temps. |
get_clocks |
Retourne une collection d’horloges dans la conception. Lorsqu’il est utilisé comme argument en faveur d’une autre commande, telle que l'« de ou de l’set_multicycle_path», chaque nœud de l’horloge représente tous les nœuds cadencés par les horloges de la collection. La valeur par défaut utilise le nœud spécifique (même s’il s’agit d’une horloge) comme cible d’une commande. |
get_keepers |
Retourne une collection de nœuds de garde (nœuds non combinés) dans la conception. |
get_nets |
Retourne une collection de réseaux dans la conception. Tous les noms de réseau de la collection correspondent au schéma spécifié. Vous pouvez utiliser des wildcards pour sélectionner plusieurs réseaux en même temps. |
get_nodes |
Retourne une collection de nœuds dans la conception. |
get_pins |
Retourne une collection de broches dans la conception. Tous les noms des broches de la collection correspondent au schéma spécifié. Vous pouvez utiliser des wildcards pour sélectionner plusieurs broches en même temps. |
get_ports |
Retourne un ensemble de ports (entrées de conception et sorties) dans la conception. |
get_registers |
Retourne une collection de registres dans la conception. |
L’exemple suivant montre diverses utilisations des commandes create_clock et create_generated_clock avec les commandes de collecte.
# Créez un simple 10 ns avec horloge avec un cycle d’utilisation de 60 % create_clock -période 10 -waveform {0 6} -name clk [get_ports clk] # Le multicycle suivant s’applique à tous les chemins se terminant par un numéro de registres cadenagé par clk set_multicycle_path -à [get_clocks clk] 2