ID de l'article: 000086939 Type de contenu: Messages d'erreur Dernière révision: 26/11/2018

ERREUR : iossm_bf_cpu_cpu_test_bench/ihp_read est « x »

Environnement

  • Intel® Quartus® Prime Pro Edition
  • FPGA Intel® Stratix® 10 IP pour interfaces de mémoire externe
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Dans la version 18.1 du logiciel Intel® Quartus® Prime Pro Edition et versions ultérieures, vous pouvez voir le message d’erreur suivant lors de la simulation d’une conception contenant le Intel® Stratix® EMIF 10 HPS.

    ERREUR : iossm_bf_cpu_cpu_test_bench/ihp_read est « x »

    Cette erreur est générée car la simulation d’une conception contenant Intel® Stratix® EMIF 10 HPS n’est pas prise en charge.

    Résolution

    Pour éviter cette erreur, suivez l’une des méthodes ci-dessous pour supprimer le Intel® Stratix® EMIF 10 HPS de la conception existante.

    Méthode 1

    1. Faites une copie du projet Quartus existant.
    2. Dans la copie de conception Quartus, retirez le HPS de la hiérarchie de projets.
    3. S’enserrez dans la base de données de simulation.

    Méthode 2

    1. Ouvrez le système Platform Designer du projet Quartus existant.
    2. Sélectionnez le composant HPS dans le système Platform Designer.
    3. Cliquez sur l’onglet Instantiation des composants ou cliquez sur Afficher > l’instantiation des composants.
    4. Le type d’implémentation actuel sera défini sur IP (par défaut). Sélectionnez Blackbox.
    5. S’enserrez dans la base de données de simulation. Le module ne sera pas généré pour cette instance.
    6. Lorsque vous construisez le système final synthétable, veillez à rétablir le type d’implémentation sur IP.

    Produits associés

    Cet article concerne 1 produits

    FPGA et FPGA SoC Intel® Stratix® 10

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.