ID de l'article: 000085981 Type de contenu: Information et documentation de produit Dernière révision: 17/12/2012

Comment activer l’analyseur logique SignalTap II lors de la compilation de mon projet à l’aide de la ligne de commande ?

Environnement

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Descriptif

Pour compiler votre projet à l’aide de SignalTap™ II Logic Analyzer à partir de la ligne de commande, exécutez d’abord la commande suivante :

quartus_stp --stp_file .stp --enable

Cette commande prendra les informations de votre fichier SignalTap II(.stp)et les ajoutera dans le fichier de paramètres Quartus II(.qsf). Compilez ensuite votre projet comme d’habitude.

Par exemple, si vous exécutez un script qui appelle chaque processus, ajoutez quartus_stp comme première ligne

quartus_stp --stp_file .stp --enable
quartus_map
quartus_cdb --merge
quartus_fit
quartus_sta
quaruts_asm

Si vous compilez votre projet à l’aide de la méthode de flux de ligne unique ( quartus_sh --flow compile ), ajoutez l’appel quartus_stp avant lui.


Pour supprimer l’analyseur logique SignalTap II de votre projet, utilisez le commutateur - désactivable.

quartus_stp --stp_file .stp --disable

Produits associés

Cet article concerne 1 produits

Circuits programmables Intel®

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.