ID de l'article: 000085816 Type de contenu: Messages d'erreur Dernière révision: 28/05/2014

Erreur : Nœud « :altgx_internal|alt_c3gxb:alt_c3gxb_component|alt_c3gxb_qbn8:auto_generated|refclk_pma[0] » est le fichier source manquant : /alt_c3gxb_qbn8.tdf Line: 311

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Vous recevrez ce message d’erreur lorsque vous essayez de générer un fichier de simulation de Qsys qui comprend un IP dur PCIe® pour les périphériques Cyclone® IV appelé à partir du logiciel Quartus® II 64 bits sous Linux.

    Infos : altgx_internal : qmegawiz -silent module=alt_c3gxb LOCKDOWN_EXCL=IP_MODE PCIe=PCIE_HIP_8 gxb_analog_power=AUTO tx_analog_power=AUTO elec_idle_infer_enable=false tx_allow_polarity_inversion=false rx_cdrctrl_enable=true hip_tx_clkout rx_elecidleinfersel fixedclk enable_0ppm=false pll_powerdown intended_device_family=cycloneiv starting_channel_number=84 deviceFamily="Cyclone IV GX » wiz_subprotocol="Gen 1-x1 » OPTIONAL_FILES=NONE mi_pcie_pcie_hard_ip_0_ altgx_internal_inst.v
    Infos : altgx_internal : générer un modèle de simulation Verilog
    Erreur : « :altgx_internal|alt_c3gxb:alt_c3gxb_component|alt_c3gxb_qbn8:auto_generated|refclk_pma[0] » est le fichier source manquant : /alt_c3gxb_qbn8.tdf Line: 311

    Résolution

    Depuis une ligne de commande (terminal), ajoutez QUARTUS_ROOTDIR à la variable PATH de votre environnement, puis ouvrez Qsys à partir de la ligne de commande (terminal). Pour mettre en œuvre cette fonctionnalité, exécutez les deux commandes suivantes à partir de l’invite de commande :

    export PATH=/bin:/build2/install/mongodb/bin:/usr/lib64/qt-3.3/bin:/usr/NX/bin:/usr/local/bin:/bin:/usr/bin:/usr/local/sbin:/usr/sbin:/sbin:/home/jchang/bin
    qsys-edit

    L’interface graphique s’affiche et une fois le projet ouvert, cliquez sur Générer.

    Produits associés

    Cet article concerne 1 produits

    FPGA GX Cyclone® IV

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.