ID de l'article: 000085733 Type de contenu: Messages d'erreur Dernière révision: 31/08/2015

Avertissement (205007) : nom de broche tronqué dans le fichier de sortie IBIS à « nom de broche IBIS » afin de respecter la norme IBIS 3.2/4.0/4.1

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Les versions 4.1 et antérieures de la spécification IBIS limitent la durée de la colonne signal_name à 20 caractères ou moins.  Lorsqu’un port deisgn FPGA possède un nom de port de plus de 20 caractères, le logiciel Quartus® II doit tronquer le nom du port pour correspondre à la limite de 20 caractères.

    Résolution

    Pour les périphériques Arria® V, Stratix® V et Cyclone® V, le logiciel Quartus II prend en charge la génération de modèles IBIS aux versions 4.2 et 5.0 les plus récentes de la spécification IBIS qui possèdent une limite de caractères incerased de 40 caractères sur la colonne signal_name.

    Pour les périphériques Stratix V, la version IBIS peut être sélectionnée en sélectionnant la catégorie EDA Tool Settings : Board-Level (Paramètres) dans la boîte de dialogue Settings (Paramètres) disponible dans le menu Assignments (Affectations).

    Pour les périphériques V et Cyclone V Arria, la cession doit être ajoutée manuellement au fichier Quartus Settings (.qsf).
    La mission est les suivantes :

    set_global_assignment nom EDA_IBIS_SPECIFICATION_VERSION -section_id eda_board_design_signal_integrity

    La version peut être définie sur 4P2 pour la prise en charge de la version 4.2 et 5P0 pour la version 5.0.

     

    Produits associés

    Cet article concerne 14 produits

    FPGA Arria® V GX
    FPGA Cyclone® V GT
    FPGA Arria® V GT
    FPGA Arria® V GZ
    FPGA Cyclone® V E
    FPGA Cyclone® V GX
    FPGA SoC Arria® V ST
    FPGA SoC Cyclone® V SE
    FPGA SoC Cyclone® V ST
    FPGA SoC Cyclone® V SX
    FPGA Stratix® V E
    FPGA Stratix® V GS
    FPGA Stratix® V GT
    FPGA Stratix® V GX

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.