ID de l'article: 000085337 Type de contenu: Dépannage Dernière révision: 11/09/2012

Pourquoi y a-t-il un changement de niveau de tension du mode commun de l’broche négative de l’entrée différentielle SSTL ou HSTL avec oct oct parallèle activée dans les périphériques Stratix III et Stratix IV ?

Environnement

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Descriptif

Les versions 8.1 et 9.0 du logiciel Quartus® II désactivent incorrectement l’OCT parallèle sur l’broche négative d’une entrée différentielle. Ce problème se produit lors de l’utilisation des normes d’E/S différentielles SSTL ou HSTL même lorsque l’oct parallèle est activé.

Ce problème concerne uniquement les périphériques Stratix® III et Stratix IV.

Pour résoudre ce problème dans les versions 8.1 ou 9.0 du logiciel Quartus II, téléchargez et installez l’un des correctifs suivants :

Correctif Quartus II 8.1 0.55 pour PC

Correctif Quartus II 8.1 0.55 pour PC readme.txt

Correctif Quartus II 8.1 0.55 pour Linux

Correctif Quartus II 8.1 0.55 pour Linux readme.txt

 

Correctif Quartus II 9.0 03 pour PC

Correctif Quartus II 9.0 03 pour PC readme.txt

Correctif Quartus II 9.0 03 pour Linux

Correctif Quartus II 9.0 03 pour Linux readme.txt

Ce problème devrait être résolu dans une version ultérieure du logiciel Quartus II.

Produits associés

Cet article concerne 1 produits

FPGA Stratix® III

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.