ID de l'article: 000084741 Type de contenu: Dépannage Dernière révision: 07/08/2013

# ** Erreur : Échec d’obtention d’une licence de simulation Verilog. Ne pouvant vérifier aucune de ces fonctionnalités de licence : msimhdlmix ; qhsim cmhs ; ou msimhdlsim # ** Erreur : (vsim-3039) ./.. AlteraTenGigMac.vhd(4743) : Instancia...

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    En raison de fichiers de bibliothèque Verilog chiffrés manquants, vous pouvez voir le message d’erreur ci-dessous lors de la simulation d’une version VHDL de la fonction MAC MegaCore® 10 Go Ethernet générée dans la version 13.0 du logiciel Quartus® II à l’aide de ModelSim®. Cela ne se produit qu’avec VHDL et pas Verilog lorsque vous disposez d’une licence de langue unique de ModelSim.

     

    # ** Erreur : Échec d’obtention d’une licence de simulation Verilog. Ne pouvant vérifier aucune de ces fonctionnalités de licence : msimhdlmix ; qhsim cmhs ; ou msimhdlsim# ** Erreur : (vsim-3039) ./.. AlteraTenGigMac.vhd (4743) : L’instanciation de « altera_avalon_st_pipeline_stage » a échoué.

    Résolution

    Un correctif est disponible pour résoudre ce problème dans la version 13.0 du logiciel Quartus® II. Téléchargez et installez le correctif 0.22 à partir du lien approprié ci-dessous :

    Ce problème sera résolu dans une version ultérieure du logiciel Quartus® II.

    Produits associés

    Cet article concerne 1 produits

    FPGA Cyclone® V GX

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.