ID de l'article: 000083702 Type de contenu: Dépannage Dernière révision: 11/09/2012

Pourquoi le logiciel Formality and Encounter Conformal signale-t-il des erreurs lorsque ma conception utilise la altshift_taps mégafunction ?

Environnement

  • Vérification
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Il s’agit d’un problème connu dans la version 5.1 du logiciel Quartus® II, résultant d’un port GND supplémentaire dans la liste de netlist post-fit.

    Ce problème est résolu à partir de la version 6.0 du logiciel Quartus II.

    Pour éviter ces erreurs dans la version 5.1, supprimez le port GND supplémentaire de l’instance de mégafunction altshift_taps dans la netlist post-fit générée par Quartus II.

    Produits associés

    Cet article concerne 1 produits

    FPGA Stratix®

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.