ID de l'article: 000083561 Type de contenu: Dépannage Dernière révision: 11/12/2013

Quelle est la affectation correcte de l’emplacement du compteur C à utiliser lors du déplacement de phase dynamique de la PLL avec la mégafunction Altera_PLL pour les périphériques 28 nm ?

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Dans les versions 13.0sp1 et antérieures du logiciel Quartus® II, vous devez spécifier la valeur du compteur C (cnt_sel) basé sur l’index du compteur physique, pour sélectionner le compteur à modifier progressivement. Les informations relatives à l’indexation physique des compteurs sont disponibles dans la section Réseaux d’horloge et LV des manuels de Arria V, Cyclone V et Stratix V.


    Dans les versions 13.1 et ultérieures du logiciel Quartus II, les utilisateurs peuvent spécifier la valeur du compteur C (cnt_sel) basée sur l’index logique des compteurs. Le compteur logique de l’index fait référence aux horloges de sortie (outclk0, outclk1...) du mégafunction Altera PLL.

     

    Résolution

    Pour les versions 13.0sp1 et antérieures du logiciel Quartus II, précisez la valeur de la valeur du compteur C (cnt_sel) avec index du compteur physique.

    Pour les versions 13.1 et ultérieures du logiciel Quartus II, précisez la valeur de la valeur du compteur C (cnt_sel) avec le contre-index logique.

    Produits associés

    Cet article concerne 15 produits

    FPGA SoC Cyclone® V SX
    FPGA Cyclone® V GT
    FPGA Stratix® V GX
    FPGA Cyclone® V GX
    FPGA Stratix® V GT
    FPGA SoC Arria® V SX
    FPGA SoC Cyclone® V ST
    FPGA SoC Arria® V ST
    FPGA Stratix® V GS
    FPGA Arria® V GZ
    FPGA Arria® V GX
    FPGA Arria® V GT
    FPGA Cyclone® V E
    FPGA Stratix® V E
    FPGA SoC Cyclone® V SE

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.