ID de l'article: 000082653 Type de contenu: Messages d'erreur Dernière révision: 05/01/2013

Erreur (175020) : Contrainte illégale de la PLL fractionnelle à la région (coordonnées x, y- coordonnées) (coordonnées x, coordonnées y) : pas d’emplacement valide dans la région

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Cette erreur peut se produire dans les périphériques Stratix® V, Arria® V et Cyclone® V lorsque le Intel® FPGA IP PLL est alimenté par un réseau mondial ou régional sur lequel ce réseau est piloté par une broche d’entrée d’horloge dédiée.  La connexion d’une broche d’horloge dédiée à une boucle PLL (phase-locked loop) sur un réseau global/régional est légale. Cependant, le logiciel Quartus® II n’autorise pas cette connexion sans promotion explicite de l’horloge à la ressource mondiale ou régionale par le biais d’un bloc de contrôle de l’horloge.

    Résolution

    Insérez un Intel® FPGA IP ALTCLKCTRL dans le chemin d’horloge entre la broche d’entrée d’horloge dédiée et la Intel FPGA IP PLL.  Notez que l’utilisation d’une affectation de signal global ou de signal global pour le signal d’horloge n’est pas suffisante, le Intel® FPGA IP ALTCLKCTRL doit être instantané dans votre conception.

    Cela n’est pas nécessaire lorsque la broche d’entrée de l’horloge dispose d’un accès dédié à la Intel FPGA IP PLL.

    Produits associés

    Cet article concerne 15 produits

    FPGA Cyclone® V GT
    FPGA Stratix® V GX
    FPGA Cyclone® V GX
    FPGA Stratix® V GT
    FPGA Stratix® V GS
    FPGA Arria® V GZ
    FPGA SoC Arria® V SX
    FPGA SoC Cyclone® V ST
    FPGA SoC Arria® V ST
    FPGA Arria® V GX
    FPGA Arria® V GT
    FPGA Cyclone® V E
    FPGA Stratix® V E
    FPGA SoC Cyclone® V SX
    FPGA SoC Cyclone® V SE

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.