ID de l'article: 000081479 Type de contenu: Dépannage Dernière révision: 19/06/2013

Pourquoi reçois-je le message d’erreur semblable à celui ci-dessous lors de la compilation d’une conception PCI Express dans le logiciel Quartus® II pour les périphériques Cyclone® V ?

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Le message d’erreur suivant est dû à la position incorrecte des broches nPERST dans le cœur Intel® FPGA IP PCI Express.  Par exemple, vous recevrez ce message d’erreur si vous utilisez une broche nPERSTL0 avec la PARTIE INFÉRIEURE gauche des périphériques Cyclone® V.

    Erreur (175001) : Impossible de placer l’IP dure
    Info (175028) : nom de l’IP dure : instancation du niveau |altpcie_cv_hip_ast_hwtcl:dut|altpcie_av_hip_ast_hwtcl:altpcie_av_hip_ast_hwtcl|
    altpcie_av_hip_128bit_atom:altpcie_av_hip_128bit_atom|arriav_hd_altpe2_hip_top
    Erreur (10104) : Impossible de trouver un chemin entre le bloc d’E/S et le port PINPERST de l’IP dure PCI Express.
    Erreur (10151) : « HIP_X1_Y15_N0 » n’est pas un emplacement légal pour le « bloc d’E/S » connecté au PINPERSTN de l’IP dure PCI Express.
    Info (10371) : 2 emplacements potentiels pour la pastille d’E/S : PIN_W24, PIN_Y23.
    Informations (175029) : 1 emplacement affecté
    Informations (175029) : HIP_X1_Y15_N0

    Résolution

    Le mappage correct pour les nPERSTL0 et nPERSTL1 dans un périphérique Cyclone® V est :

    IP PCIe Hard IP --> nPERSTL1
    Top PCIe Hard IP --> nPERSTL0
    Ce mappage est inverse pour les périphériques Stratix® V et Arria® V où l’IP hard IP PCIe inférieure est associée à nPERSTL0 et où la meilleure IP dure PCIe est associée à nPERSTL1.

    Produits associés

    Cet article concerne 2 produits

    FPGA Cyclone® V GX
    FPGA Cyclone® V GT

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.