ID de l'article: 000080099 Type de contenu: Dépannage Dernière révision: 27/08/2013

Y a-t-il un problème lorsque des LL plls en cascade utilisent des compteurs post-échelle en cascade dans les périphériques Stratix III et Stratix IV dans la version 11.0SP1 du logiciel Quartus II et les versions précédentes ?

Environnement

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Descriptif

Pour les périphériques Stratix® III et Stratix IV, les versions 11.0 SP1 et antérieures du logiciel Quartus® II peuvent générer les horloges de sortie de faible fréquence incorrectes si au moins un des PLL utilise des compteurs post-scale en cascade pour atteindre de faibles fréquences d’horloge de sortie, et que la PLL est fusionnée avec une autre dans votre conception.

Le logiciel Quartus II peut combiner des PLL lorsque deux instances ALTPLL (ou plus) dans votre conception peuvent être combinées à une seule ressource PLL.  Par exemple, si deux mégafunctions ALTPLL possèdent la même horloge de référence, le même signal de réinitialisation et que chacune d’elles possède des horloges de sortie qui peuvent être produites par une seule PLL, alors elles seront fusionnées dans la même ressource.

Dans les versions 11.0SP1 et antérieures du logiciel Quartus II, la fonction de réception ne met pas correctement en œuvre le compteur en cascade.  Le rapport d’utilisation de la PLL indiquera la fréquence d’horloge prévue a été implémentée et l’analyse de synchronisation sera effectuée à la fréquence d’horloge prévue, mais il est possible que la sortie d’horloge ne possède pas la fréquence de sortie souhaitée dans le périphérique.

Pour contourner ce problème, éteignez « Fusion automatique de PLLs » pour votre projet.  Cette option se trouve dans le menu Affectations - Paramètres - Paramètres fitter - Paramètres plus fitter.

 

Produits associés

Cet article concerne 4 produits

FPGA Stratix® III
FPGA Stratix® II GT
FPGA Stratix® IV E
FPGA Stratix® II GX

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.