ID de l'article: 000079792 Type de contenu: Dépannage Dernière révision: 31/12/2014

Variations CPRI v6.0 IP Core VHDL visant un Arria V GZ ou un périphérique Stratix V ne peuvent pas simuler avec le simulateur Synopsys VCS-MX

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • Simulation
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problème critique

    Descriptif

    Variantes VHDL du cœur IP CPRI v6.0 qui ciblent un Arria V GZ ou le périphérique Stratix V ne peuvent pas simuler avec le Synopsys VCS-MX Simulateur.

    Résolution

    Pour éviter ce problème, vous pouvez simuler le cœur IP avec le Simulateur Mentor Graphics ModelSim.

    Vous pouvez également contourner ce problème avec un manuel modifications au code RTL généré. Après avoir généré votre conception, vous devez modifier manuellement le fichier pll_sim.vhd que le cœur IP PLL TX externe génère, avant de simuler votre Conception.

    Vous devez modifier le type dans les déclarations et la logique pour les pll_fb_sw fboutclk , et hclk signaux pour, std_logic_vector en apportant les modifications suivantes dans le fichier :

    Dans la déclaration des composants, remplacez ce texte

    pll_fb_sw : in std_logic := \'X\'; fboutclk :out std_logic; hclk : out std_logic

    avec ce texte :

    pll_fb_sw : in std_logic_vector(0 downto 0) := (others => \'X\'); fboutclk :out std_logic_vector(0 downto 0); hclk : out std_logic_vector(0 downto 0);

    Dans la carte des ports, remplacez ce texte

    pll_fb_sw => \'0\',

    avec ce texte :

    pll_fb_sw => "0",

    Ce problème est résolu dans la version 14.1 du cœur IP CPRI v6.0.

    Produits associés

    Cet article concerne 1 produits

    Circuits programmables Intel®

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.