ID de l'article: 000079713 Type de contenu: Dépannage Dernière révision: 11/09/2012

Pourquoi certains IP MegaCore dont la licence est valide ne compilent-ils pas avec Stratix périphériques V ?

Environnement

BUILT IN - ARTICLE INTRO SECOND COMPONENT
Descriptif

En raison d’un problème avec les versions 10.1 et ultérieures du logiciel Quartus® II, les conceptions contenant les fonctions IP MegaCore® de la liste ci-dessous peuvent faire arrêter la compilation avec une erreur de licence même si vous disposez d’une licence IP MegaCore valide. Cela est dû à un bogue dans le chiffrement de ces cœurs pour les périphériques Stratix® V. La liste des cœurs affectés est :

  • POS-PHY niveau 4
  • Reed Solomon
  • Viterbi
  • CIC
  • Compilateur FIR
  • NCO
  • FFT
  • Alpha Blending Mixer
  • CSC
  • Resampler Giva
  • Tondeuse
  • Séquenceur de plan de couleur
  • Déinterlaceur
  • Filtre FIR 2D
  • Tampon de trame
  • Correcteur Denst pour l’ux
  • Entrelaceur
  • 2D de filtre
  • Mesureur
  • Générateur de modèles de test
  • Ethernet à trois vitesses

Un message d’erreur typique (en utilisant le cœur Ethernet à trois vitesses comme exemple) semble :

Error: Core "Triple Speed Ethernet" (6AF7_00BD) is not enabled for current device family

Configurations affectées

Toutes les variantes des cœurs ci-dessus ciblant la famille de périphériques Stratix V à l’aide de la version 10.1 ou une version ultérieure.

Contournement

Un correctif est disponible pour résoudre ce problème avec la version 10.1 SP1 du logiciel Quartus II. Si vous utilisez le logiciel Quartus II version 10.1, vous devez passer à la version 10.1 SP1 avant d’utiliser ce correctif.  Téléchargez et installez le correctif 1.19 à partir du lien approprié ci-dessous :

Ce problème devrait être résolu dans une version ultérieure du logiciel Quartus II.

Produits associés

Cet article concerne 1 produits

FPGA Stratix® V GX

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.