Problème critique
Lorsque vous créez des fonctions de comparaison de l’IP en virgule flottante
cœurs dans la version du logiciel 13.1 Quartus® II, le fichier de haut niveau .vhd
est généré sans le port « b » requis. Ce .vhd
fichier
l’erreur se produit uniquement lors de la création de comparer les fonctions ; autres fonctions
altera_fp_functions
d’autres ne sont pas affectés.
Ce problème est résolu dans la version du logiciel 14.0 Quartus II.
Dans la version 13.1 du logiciel Quartus II, lorsque vous créez une
comparer la fonction appelée cmp, le logiciel génère un haut niveau
fichier appelé cmp.vhd
; ce fichier n’a pas le nécessaire
Port « b ». Vous pouvez modifier cmp.vhd
comme suit pour inclure
port « b » requis :
entity cmp is
port (
clk : in std_logic := \'0\';
-- clk.clk
areset : in std_logic := \'0\';
-- areset.reset
a : in std_logic_vector(31 downto 0) := (others
=> \'0\'); -- a.a
b : in std_logic_vector(31 downto 0) := (others
=> \'0\'); -- b.b
q : out std_logic_vector(0 downto 0)
-- q.q
);
end entity cmp;
architecture rtl of cmp is
component cmp_0002 is
port (
clk : in std_logic := \'X\';
-- clk
areset : in std_logic := \'X\';
-- reset
a : in std_logic_vector(31 downto 0) := (others
=> \'X\'); -- a
b : in std_logic_vector(31 downto 0) := (others
=> \'X\'); -- b
q : out std_logic_vector(0 downto 0)
-- q
);
end component cmp_0002;
begin
cmp_inst: component cmp_0002
port map(
clk => clk, -- clk.clk
areset => areset, -- areset.reset
a => a, -- a.a
b => b, -- b.b
q => q -- q.q
);end architecture rtl; -- of cmp