ID de l'article: 000076274 Type de contenu: Dépannage Dernière révision: 20/01/2016

Pourquoi mon port de réinitialisation Cyclone V ou Stratix V Altera_PLL est-il annulé dans la simulation ?

Environnement

  • Réinitialiser
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif En raison d’un problème dans le Quartus® Version 13.1 du logiciel II, vous voyez que le port de réinitialisation Altera PLL est annulé dans une simulation au niveau des portes. Ce problème se produit dans les conceptions Cyclone® V ou Stratix® V lorsque le mode avancé ou la reconfiguration est activé dans le Altera_PLL.
    Résolution

    Pour contourner ce problème dans ModelSim, ajoutez le commutateur suivant à la commande vlog

    définir POSTFIT_SIM_USE_ICD_PLL_MODEL

    Par exemple, ajoutez les lignes suivantes au fichier *_run_msim_gate_verilog.do

    Pour les conceptions Cyclone V

    vlog define POSTFIT_SIM_USE_ICD_PLL_MODEL /quartus/eda/sim_lib/mentor/cyclonev_*.v
    vlog define POSTFIT_SIM_USE_ICD_PLL_MODEL /quartus/eda/sim_lib/cyclonev_atoms.v
    vlog define POSTFIT_SIM_USE_ICD_PLL_MODEL

    Pour les conceptions Stratix V

    vlog define POSTFIT_SIM_USE_ICD_PLL_MODEL /quartus/eda/sim_lib/mentor/stratixv_*.v
    vlog define POSTFIT_SIM_USE_ICD_PLL_MODEL /quartus/eda/sim_lib/stratixv_atoms.v
    vlog define POSTFIT_SIM_USE_ICD_PLL_MODEL

    /quartus/eda/sim_lib/altera_primitives.v
    vlog define POSTFIT_SIM_USE_ICD_PLL_MODEL /quartus/eda/sim_lib/altera_lnsim.sv
    vlog define POSTFIT_SIM_USE_ICD_PLL_MODEL /quartus/eda/sim_lib/220model.v
    vlog define POSTFIT_SIM_USE_ICD_PLL_MODEL /quartus/eda/sim_lib/sgate.v
    vlog define POSTFIT_SIM_USE_ICD_PLL_MODEL /quartus/eda/sim_lib/altera_mf.v
    vsim  -t 1ps transport_int_delays transport_path_delays -voptargs= acc gate_work.

    Produits associés

    Cet article concerne 8 produits

    FPGA SoC Cyclone® V SE
    FPGA SoC Cyclone® V ST
    FPGA Cyclone® V GX
    FPGA SoC Cyclone® V SX
    FPGA Cyclone® V GT
    FPGA Cyclone® V E
    FPGA Stratix® V GX
    FPGA Stratix® V GT

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.