Problème critique
Le testbench de démonstration pour les variantes 40GBASE-KR4 de le cœur IP MAC et PHY Ethernet de 40 et 100 Gbit/s ne peut pas simuler avec succès avec le simulateur Cadence NCSIM.
L’erreur se trouve dans le script du simulateur run_ncsim.sh. Le message d’erreur suivant indique la panne :
ncelab: *E,CUVMUR (./alt_e40_avalon_kr4_tb.sv,1213|14):
instance ‘alt_e40_avalon_kr4_tb.reco_bundle_1’ of design unit ‘sv_rcn_bundle’
is unresolved in ‘work.alt_e40_avalon_kr4_tb: module’.
Deux options vous sont disponibles pour contourner ce problème.
Vous pouvez simuler la démonstration du cœur IP 40GBASE-KR4 40-100GbE testbench avec le simulateur Mentor Graphics ModelSim.
Vous pouvez également modifier vos fichiers testbench. Il le faut également un nettoyage à la suite d’une exécution de simulateur infructueuse avec le fichier non édité Fichiers.
Les modifications suivantes permettent de s’assurer que vos fichiers testbench de cœur IP sont compatibles avec le simulateur Cadence NCSIM :
- Passez du répertoire à _example/alt_e40_e100/example_testbench.
- Ouvrez le fichier run_ncsim.sh dans un éditeur de texte et remplacez la ligne existante suivante par la nouvelle commande suivante :
- Ouvrez le fichier kr4_example_files.txt dans un éditeur de texte et supprimez les deux lignes suivantes :
- Supprimer le répertoire de bibliothèques créé par votre simulation infructueuse s’exécute à l’aide du fichier run_ncsim.sh non édité.
Remplacez cette ligne existante :
ncvlog -F ./kr4_example_files.txt
avec cette commande de remplacement :
ncvlog -sv -F ./kr4_example_files.txt
../example/common/alt_e40_e_reco/alt_e40_e_reco/altera_xcvr_functions.sv
../example/common/alt_e40_e_reco/alt_e40_e_reco/xv_xcvr_h.sv
Ce problème est résolu dans la version 14.0 des processeurs 40 et 100 Gbit/s Ethernet MAC et PHY MegaCore fonctionnent.