ID de l'article: 000075414 Type de contenu: Dépannage Dernière révision: 16/06/2017

Pourquoi puis-je voir des erreurs de transmission lors de l’exécution d’une implémentation de cœur IP SerialLite III à une voie sur Intel® Stratix® 10 FPGA matériel ?

Environnement

  • Intel® Quartus® Prime Pro Edition
  • FPGA Intel® IP pour streaming série Lite III
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    Il est possible que vous voyiez Erreur de transmission lors de l’exécution d’une implémentation de cœur IP SerialLite III à une voie sur Intel® Stratix® 10 FPGA sur le matériel si la valeur de la valeur de l’inactivité requise entre les paramètres de rafales est définie sur 2.

    Résolution

    Pour contourner ce problème, changez les cycles d’inactivité requis entre la valeur de rafales et la valeur 1. Une déconnchrone et une récompile.

    Ce problème devrait être résolu dans la prochaine version complète de la Intel® Quartus® logiciel Prime Pro Edition.

    Produits associés

    Cet article concerne 3 produits

    FPGA SoC Intel® Stratix® 10 GX
    FPGA et FPGA SoC Intel® Stratix® 10
    FPGA Intel® Stratix® 10 GX

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.