ID de l'article: 000075078 Type de contenu: Dépannage Dernière révision: 13/11/2015

Existe-t-il un problème connu concernant l’IP Flash sur puce Intel® MAX® 10 FPGA pour les opérations de lecture UFM ?

Environnement

  • Logiciel Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    Descriptif

    En raison d’un problème connu dans le logiciel Intel® Quartus® Prime, il est possible que des échecs de lecture des données se produisent lors de l’utilisation de l’IP On Chip Flash pour lire la mémoire Flash utilisateur (UFM) dans Intel® MAX® 10 périphériques.

    Résolution

    Pour contourner cette situation, modifiez le fichier altera_onchip_flash_avmm_data_controller.v comme indiqué ci-dessous. Ce fichier se trouve à l’emplacement suivant < Dossier d’installation >\ip\altera\altera_onchip_flash\altera_onchip_flash\altera_onchip_flash_avmm_data_controller.v

    Langue source:

         assigner flash_read_addr = (is_read_busy) ? flash_seq_read_ardin : avmm_addr ;

    Modifié:

         assigner flash_read_addr = avmm_addr ; (is_read_busy) ? flash_seq_read_ardin : avmm_addr ;

    Ce problème sera résolu dans une version ultérieure du logiciel Intel® Quartus® Prime.

    Produits associés

    Cet article concerne 1 produits

    FPGA Intel® MAX® 10

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.