ID de l'article: 000074476 Type de contenu: Dépannage Dernière révision: 11/07/2015

Cyclone V Hard IP pour PCI Express IP Core VHDL Modèle pourrait ne pas simuler avec succès avec le simulateur ModelSim-Altera

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problème critique

    Descriptif

    Si vous créez un modèle de simulation VHDL pour un IP dur Cyclone V pour PCI Express IP variation du point d’extrémité core Gen2 x4, vous ne pouvez pas simuler avec succès votre cœur IP avec le simulateur ModelSim-Altera.

    Résolution

    Ce problème n’a aucune solution de contournement. Vous devez utiliser un modèle de simulation Verilog HDL pour cela Variation du cœur IP ou simulation avec un outil de simulation différent.

    Produits associés

    Cet article concerne 1 produits

    FPGA et FPGA SoC Cyclone® V

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.