ID de l'article: 000074211 Type de contenu: Dépannage Dernière révision: 23/08/2011

Erreur de compilation quartus

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problème critique

    Descriptif

    Les conceptions ciblant les périphériques Cyclone II ne parviennent pas à compiler dans la version 10.0 du logiciel Quartus II.

    Ce problème affecte tous les modèles qui utilisent Cyclone périphériques II.

    Votre conception ne compile pas.

    Résolution

    Utilisez la version 9.1 du logiciel Quartus II.

    Ce problème ne sera pas résolu.

    Produits associés

    Cet article concerne 1 produits

    FPGA Cyclone® II

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.