ID de l'article: 000073771 Type de contenu: Dépannage Dernière révision: 09/07/2014

Pourquoi reçois-je des avertissements d’analyse de synchronisation lors de la compilation du compilateur IP pour PCI Express sur Stratix IV ?

Environnement

  • Édition d'abonnement Intel® Quartus® II
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    Problème critique

    Descriptif

    Lors de l’analyse de synchronisation du compilateur IP pour PCI Express® sur Stratix® IV, vous verrez des avertissements liés au fichier SDC (Synopsys Design Constraint) généré automatiquement.

    Résolution

    Pour résoudre chaque avertissement de contrainte d’analyse de synchronisation, suivez la solution de contournement pour le fichier altera_pci_express.sdc répertorié ci-dessous.

    [Avertissement]
    Avertissement (332174) : filtre ignoré à altera_pci_express.sdc(14) : *refclk_export ne pouvait pas être apparié avec un port, une broche, un registre, un keeper ou un réseau

    [Solution de contournement]
    Changement altera_pci_express.sdc ligne 14 à partir de
                    create_clock période « 100 MHz » -nom {refclk_pci_express} {*refclk_export}
    À
                    create_clock période « 100 MHz » -nom {refclk_pci_express} [get_ports PCIE_REFCLK]

    [Avertissement]
    Avertissement (332174) : filtre ignoré à altera_pci_express.sdc(18) : *central_clk_div0* ne pouvait pas être adapté avec une horloge
    Avertissement (332174) : filtre ignoré à altera_pci_express.sdc(18) : *_hssi_pcie_hip* ne pouvait pas être adapté avec une horloge

    [Solution de contournement]
    Changement altera_pci_express.sdc ligne 18 à partir de
                    set_clock_groups -exclusive -group [get_clocks {*central_clk_div0*}] -group [get_clocks {*_hssi_pcie_hip* }]
    À
                    set_clock_groups -exclusive -group [get_clocks *central_clk_div0*] -group [get_clocks*_hssi_pcie_hip*]

    Ce problème ne sera pas résolu dans une prochaine version du logiciel.

    Produits associés

    Cet article concerne 2 produits

    FPGA Stratix® II GX
    FPGA Stratix® IV

    Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.