Modèles VHDL pour les machines d’état

author-image

Par

Cette page se compose d’exemples de conception de machines d’état dans VHDL. Une machine d’état est un circuit séquentiel qui passe par un certain nombre d’états. Les exemples fournissent les codes HDL pour mettre en œuvre les types de machines d’état suivants :

  • Machine d’État à 4 états de l’état de l’état de l’agilité

Les sorties d’une machine d’état de l’état de l’agilité dépend à la fois des entrées et de l’état actuel. Lorsque les entrées changent, les sorties sont mises à jour sans attendre un bord d’horloge.

  • Machine d’état Moore 4 états

Les sorties d’une machine d’état Moore ne dépendent que de l’état actuel. Les sorties sont écrites uniquement lorsque l’état change (sur le bord de l’horloge).

  • Machine à état sûr

Cet exemple utilise la valeur d’attribut de synthèse syn_encoding sûre pour spécifier que le logiciel doit insérer une logique supplémentaire pour détecter un état illégal et forcer la transition de l’ordinateur d’état vers l’état de réinitialisation.

  • Machine d’état encodée par l’utilisateur

Cet exemple utilise l’attribut de synthèse syn_encoding pour appliquer des encodages binaires spécifiques aux éléments d’un type énuméré.

Le contenu de cette page est une combinaison de traduction humaine et informatique du contenu original en anglais. Ce contenu vous est fourni pour votre commodité et à titre informatif seulement et ne saurait être totalement exact ou complet. En cas de contradiction entre la version anglaise de cette page et la traduction, c'est la version anglaise qui prévaut. Afficher la version anglaise de cette page.