Release Notes For ModelSim Intel FPGA 10.6d Feb 23 2018 Copyright 1991-2018 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation. The original recipient of this document may duplicate this document in whole or in part for internal business purposes only, provided that this entire notice appears in all copies. In duplicating any part of this document the recipient agrees to make every reasonable effort to prevent the unauthorized use and distribution of the proprietary information. TRADEMARKS: The trademarks, logos and service marks ("Marks") used herein are the property of Mentor Graphics Corporation or other third parties. No one is permitted to use these Marks without the prior written consent of Mentor Graphics or the respective third-party owner. The use herein of a third-party Mark is not an attempt to indicate Mentor Graphics as a source of a product, but is intended to indicate a product from, or associated with, a particular third party. The following are trademarks of of Mentor Graphics Corporation: Questa, ModelSim, JobSpy, and Signal Spy. A current list of Mentor Graphics trademarks may be viewed at www.mentor.com/terms_conditions/trademarks.cfm. End-User License Agreement: You can print a copy of the End-User License Agreement from: www.mentor.com/terms_conditions/enduser.cfm. _______________________________________________________________________ * How to Get Support ModelSim Intel FPGA is supported by Intel + World-Wide-Web Support [1]http://www.altera.com/mySupport _______________________________________________________________________ Index to Release Notes * [2]Key Information * [3]Release Announcements in 10.6d * [4]Base Product Specifications in 10.6d * [5]Compatibility Issues with Release 10.6d * [6]General Defects Repaired in 10.6d * [7]User Interface Defects Repaired in 10.6d * [8]SystemVerilog Defects Repaired in 10.6d * [9]VHDL Defects Repaired in 10.6d * [10]User Interface Enhancements in 10.6d * [11]SystemVerilog Enhancements in 10.6d * [12]SystemC Enhancements in 10.6d * [13]Document Revision History in 10.6d _______________________________________________________________________ Key Information * The -novopt command line switch will be deprecated in the next major release 10.7 following normal deprecation process: + The -novopt switch will be accepted in 10.7 with a deprecation warning message. + In 10.8 or a subsequent release, the -novopt switch will be disabled and cause error messages to be emitted. + Customer scripts using this switch will have to be changed. + This legacy switch forces incremental mode (pre-6.0 behavior) which is sub-optimal, and it is no longer maintained. The following lists the supported platforms: + win32aloem - Windows 7, Windows 8.1, Windows 10 + linuxaloem - RedHat Enterprise Linux 6, SUSE Linux Enterprise Server 11 _______________________________________________________________________ Release Announcements in 10.6d * There is no licensing change between 10.5 and 10.6. However, if you are migrating to 10.6 from a release like 10.4 and older, please note that release 10.6 uses FLEXnet v11.13.1.2 server, v11.13.0.2 client. For floating licenses, it will be necessary to verify that the vendor daemon (i.e., mgcld) and the license server (i.e., lmgrd) have FLEXnet versions equal to or greater than 11.13.0.2. If the current FLEXnet version of your vendor daemon and lmgrd are less than 11.13.0.2 then it will be necessary to stop your license server and restart it using the vendor daemon and lmgrd contained in this release. If you use node locked licenses you don't need to do anything. This release will update licensing to MSL v2015_1_patch2 with MGLS v9.13_5.4 and PCLS v9.13.5.2 In summary, this release uses the following license versions: + FLEXnet v11.13.1.2 server, v11.13.0.2 client + MSL v2015_1_patch2 + MGLS v9.13_5.4 + PCLS v9.13.5.2 + Beginning with 10.6 release, support for Linux RHEL 5 x86/x86-64 and SLES 10 x86/x86_64 have discontinued. + Beginning with 10.6 release, gcc-4.3.3-linux/gcc4.3.3-linux_x86_64 GCC Compilers for SystemC have been discontinued. + Beginning with 10.6 release, support for Windows 8 series is limited to 8.1. Windows 8.0 has discontinued. + We regularly review our OS/Platform support for Questa/ModelSim and related functional verification products to add new platforms and discontinue old ones. That process normally takes a number of years from decision to action. Customer notifications are typically only one year in an advance through our Release Notes and Install Guide. We plan to make a change in our Windows support, 1 year from now. We began notifications of this change back in January 2017 with the 10.5d and 10.6a releases As our existing Windows machines breakdown or are discontinued, replacement hardware can only support Windows 10. So say Microsoft and our hardware vendors, HP & Lenovo. That will limit our capacity and ability to support Windows 7 & 8.1 in the future. Therefore, starting with 10.8 (FCS Dec 2018) we plan to discontinue supporting Windows 7 & 8.1 Thus the only Windows version for 10.8 will be for Windows 10. However we will continue to support Windows 7 & 8.1 with our 10.6 and 10.7 release series until their planned EOL (10.6 EOL - mid 2019, 10.7 EOL - mid 2020) to coincide with Microsoft's EOL for Windows 7. + Due to enhanced security restrictions with web browser PDF plug-ins, some links do not function. Links in HTML documentation are fully functional. Clicking a link within a PDF viewed in a web browser may result in no action, or it may load the title page of the current PDF manual (instead of the intended target in the PDF manual). The unresolved link behavior occurs in all web browsers on Windows and Linux platforms. Because of this behavior, the navigational experience of PDF manuals is compromised. PDF is ideal for printing because of its page-oriented layout. Use the HTML manuals to search for topics, navigate between topics, and click links to examples, videos, reference material, and other related technical content. For information about Adobe's discontinued support of Adobe Reader on Linux platforms and your available options, refer to Knowledge Article MG596568 on SupportNet. Linux is a registered trademark of Linus Torvalds in the U.S. and other countries. + (source, results) The -novopt command line switch will be deprecated in the next major release 10.7 following normal deprecation process: o The -novopt switch will be accepted in 10.7 with a deprecation suppressible error message. o In 10.8 or a subsequent release, the -novopt switch will not be accepted by the tool and cause tool to exit with error message. o Customer scripts using this switch will have to be changed. This legacy switch forces incremental mode (pre-6.0 behavior) which is sub-optimal, and it is no longer maintained. ______________________________________________________________ Base Product Specifications in 10.6d + [Supported Platforms] Linux RHEL 6 x86/x86-64 Linux RHEL 7 x86/x86-64 Linux SLES 11 x86/x86-64 Linux SLES 12 x86/x86-64 Windows 7 x86/x64 Windows 8.1 x86/x64 Windows 10 x86/x64 [Supported GCC Compilers (for SystemC)] gcc-5.3.0-linux/gcc-5.3.0-linux_x86_64 gcc-4.7.4-linux/gcc-4.7.4-linux_x86_64 gcc-4.5.0-linux/gcc-4.5.0-linux_x86_64 gcc-4.2.1-mingw32vc12 [OVL (shipped with product)] v2.8.1 [VHDL OSVVM (shipped with product)] v2014.07 [Licensing] FLEXnet v11.13.1.2 server, v11.13.0.2 client MSL v2015_1_patch2 MGLS v9.13_5.4 PCLS v9.13.5.2 ___________________________________________________________________ Compatibility Issues with Release 10.6d VHDL Compatibility * dvt103341 - (source) If the a bound of a range contained a function call, in some cases vcom failed to check to see if an actual argument to a function was in the subtype of the formal argument. This would occur if the actual argument was locally static and the formal's subtype was locally static. This would allow invalid code to execute. Depending how the function handle invalid argument values the simulation could generate errors or incorrect results. * dvt104516 - (results) Predefined attribute S'LAST_VALUE could produce incorrect results if it was evaluated on a changing composite signal as deltas were occurring during the same timestep. Release Announcements Compatibility * [nodvtid] - (source, results) The -novopt command line switch will be deprecated in the next major release 10.7 following normal deprecation process: + The -novopt switch will be accepted in 10.7 with a deprecation suppressible error message. + In 10.8 or a subsequent release, the -novopt switch will not be accepted by the tool and cause tool to exit with error message. + Customer scripts using this switch will have to be changed. This legacy switch forces incremental mode (pre-6.0 behavior) which is sub-optimal, and it is no longer maintained. ___________________________________________________________________ General Defects Repaired in 10.6d * dvt104690 - An issue related to licensing is fixed. The issue caused a hang if the checked-out license feature is about to expire (default is 15 days before expiration). A workaround was to set the 'MGLS_EXP_WARN_DAYS' variable to '1'. Now, the workaround is not needed. This issue was not applicable to Windows platform. _______________________________________________________________________ User Interface Defects Repaired in 10.6d * dvt102099 - The noforce command incorrectly returns an error status when used in vsim -batch mode. This issue has been resolved. * dvt104114 - An incorrect warning "VSIM-3581" is no longer issues when using -appendlog along with -restore or -load_elab options. * dvt36562 - Using the mouse scroll wheel in the Memory View window now scrolls the window contents correctly. * dvt103413 - The GUI crash reading a wlf file containing SV union nets has been resolved. * dvt107745 - GUI error occurs when trying to display FSM coverage analysis on a Task based FSM. This issue has been resolved. * dvt107688 - In some cases, signals sent from the source window to other windows will not be from the correct scope. This issue has been resolved. _______________________________________________________________________ SystemVerilog Defects Repaired in 10.6d * [nodvtid] - Questa now supports "integral_number"s as a part of an "enum_identifier" within an "enum_name_declaration" production. * [nodvtid] - In fatal errors involving virtual interfaces Questa may have emitted an incorrect file number in the message. * dvt102853 - Fixed a simulator internal error when a DPI open array with an unsized packed dimension is used. The message is similar to: Questa has encountered an unexpected internal error: ../../src/vsim/svdpi_runtime.c(2216). * [nodvtid] - In some cases where a fork..join block contained a nested fork..join_any sub-block, disabling the outer block would not immediately disable the inner block. _______________________________________________________________________ VHDL Defects Repaired in 10.6d * dvt101580 - Certain styles of continuous selected signal assignment statements with zero delay would not execute properly when optimized, either in an optimized design or a design compiled with the PE version of the product. * dvt103341 - (source) If the a bound of a range contained a function call, in some cases vcom failed to check to see if an actual argument to a function was in the subtype of the formal argument. This would occur if the actual argument was locally static and the formal's subtype was locally static. This would allow invalid code to execute. Depending how the function handle invalid argument values the simulation could generate errors or incorrect results. * dvt103675 - In some cases where are large number of objects are declared the order of declaration could negatively impact vcom performance. * dvt104516 - (results) Predefined attribute S'LAST_VALUE could produce incorrect results if it was evaluated on a changing composite signal as deltas were occurring during the same timestep. * dvt103546 - The implicit matching operators for STD_ULOGIC, when the operands were locally static, would not be evaluated correctly by the compiler when performing an optimization to replace the expression with a known value * dvt103968 - Subprogram instantiation called with out-of-range actual would sometimes not be caught as an error. * dvt67231 - Special characters in a comment that was inside an encrypted region could cause a parsing error. * dvt106202 - An internal error was report in some cases in vcom or vopt if an array signal is indexed or sliced. The index expression or slice expression contains a locally static expression that has a primary is a record or array. For example: constant C : integer_vector(0 to 1) := ( 3, 6); signal S : std_logic_vector(15 downto 0); .... o <= S(C(0)); -- The use of C(0) can cause an internal error * dvt106288 - If a package contains a generic of the same name as a generic of an entity, and the package is instantiated within the entity, the compiler may incorrectly use the static value of the entity's generic rather than the package's generic when producing code for the package instantiation. * dvt104870 - A simulator crash could occur if an object was declared with an initial expression that was the name of another object whose initial value was a function call whose return type mark was the predefined attribute SUBTYPE with a prefix that was one of the function input parameters (when that parameter is of an unconstrained composite type). _______________________________________________________________________ User Interface Enhancements in 10.6d * dvt106543 - Color preference settings have been added for the message indicators at the top of the Wave window. The new preference variables are PrefWave(messageNoteColor), PrefWave(messageWarnColor), and PrefWave(messageFailColor). _______________________________________________________________________ SystemVerilog Enhancements in 10.6d * [nodvtid] - Allow empty procedural case statements. I.e.: case statements with no branches defined. By default the vlog compiler will continue to emit an error when no branches are defined. This error is suppressible. * [nodvtid] - Vlog's command line option -svfilesuffix now accepts more characters as valid. * dvt99791 - For C/C++ auto compile, modelsim.ini variable "DpiCppInstall" has been added which is the equivalent of the '-dpicppinstall' command line option. * dvt99791 - The '-cppinstall' and '-cpppath' options will be honored for C/C++ auto compile in the absence of '-dpicppinstall' and '-dpicpppath' options. For SystemC compile, the order of precedence in determining the compiler path is the following: - -cppinstall - -cpppath For C/C++ auto compile, the order of precedence in determining the compiler path is the following: - -dpicppinstall - -dpicpppath - -cppinstall - -cpppath * dvt101556 - Warning numbers 7076 and 7077 for vlog and vsim have been upgraded to suppressi ble errors. They will now appear as: ** Error (suppressible): (-7076) The gcc/g++ path '' via - dpicppinstall swicth is not qualified and is ignored. ** Error (suppressible): (-7077) the path '' via -dpicpppa th switch not a valid gcc/g++ full path and is ignored. vlog/vsim -suppress 7076 | 7077 to suppress the error. * dvt103053 - Add vlog/vopt -svinputport=compat option to default an input port declared with a type but without the "var" keyword to "wire", as required by the LRM, but only if the type is compatible with a net declaration. The fully LRM compliant option -svinputport=net will default to "wire" even if the type is not compatible with a net declaration ("bit", for example), potentially resulting in compilation errors. The default behavior remains unchanged, which is -svinputport=relaxed, where only a a type that is a 4-state scalar or 4-state single dimension vector type defaults to "wire". _______________________________________________________________________ SystemC Enhancements in 10.6d * [nodvtid] - sccom has a new option '-sctop '. This option should be used along with the '-nodebug' option. Every SystemC module specified with the SC_EXPORT_MODULE() macro should be specified on the sccom command line with the '-sctop ' option during compilation, e.g: sccom -sctop -sctop ... . _______________________________________________________________________ Document Revision History in 10.6d * Revision - Changes - Status/Date + 2.3 - Modifications to improve the readability and comprehension of the content. Approved by Tim Peeke. All technical enhancements, changes, and fixes are listed in this document for all products in this release. Approved by Bryan Ramirez. - Released/February 2018 + 2.2 - Modifications to improve the readability and comprehension of the content. Approved by Tim Peeke. All technical enhancements, changes, and fixes are listed in this document for all products in this release. Approved by Bryan Ramirez. - Released/July 2017 + 2.1 - Modifications to improve the readability and comprehension of the content. Approved by Tim Peeke. All technical enhancements, changes, and fixes are listed in this document for all products in this release. Approved by Bryan Ramirez. - Released/May 2017 * Author: In-house procedures and working practices require multiple authors for documents. All associated authors for each topic within this document are tracked within the document source. * Revision History: Released documents maintain a revision history of up to four revisions. For earlier revision history, refer to earlier releases of documentation which are available on Support Center (http://support.mentor.com).